Alpha Data Parstal Systems Ltd.产品

XRM-Clink-Mini的图像 XRM-Clink-Mini是I / O模块,提供行业标准Cameralink接口。它为用户提供了在使用兼容相机时在FPGA中实现计算 - 密集型应用,例如FPGA中的帧抓取器,数字视频通信和图像处理系统。XRM-CLINK-MINI板支持-FULL和-DB(双基座)CAMERINK配置,旨在通过标准的26路电缆形成连接到远程摄像头。当与示例代码一起使用时,可以实现由接口配置指定的任何格式。提供了标准相机控制线和串行接口的全用户控制。用户控制下的LED可以被编程为根据需要充当链路状态指示符等。有关其他产品详情请参阅这里的XRM-Clink-Mini产品页面

其他产品来自Alpha Data Parallel Systems Ltd.