Alpha Data Parstal Systems Ltd.产品

XRM-Clink-ADV的图像 XRM-Clink-ADV是I / O模块,提供行业标准Cameralink接口和双JPEG2000压缩解压缩设备。它为用户提供了在使用兼容相机时在FPGA中实现计算 - 密集型应用,例如FPGA中的帧抓取器,数字视频通信和图像处理系统。XRM可以作为双基础运行,Full / SigherCameraLink®。有关其他产品详情请参阅这里的XRM-Clink-Adv产品页面

其他产品来自Alpha Data Parallel Systems Ltd.